当前位置: 首页 » 资讯 » 产业资讯 » 产业 » 正文

半导体设备深度(一):东风起,“芯芯”之火必燎原

放大字体  缩小字体 发布日期:2018-01-15 来源:华创机械李佳团队浏览次数:496
 【华创机械李佳团队】李佳/鲁佩/赵志铭/娄湘虹/吴纬烨

 

 

 

事    项

在半导体行业迎来新周期,行业向中国转移的背景下,中国大陆将迎来晶圆产线的投建大潮,并随之迎来半导体设备的需求高峰。本文梳理了全球半导体行业的格局,半导体制造全流程中涉及的各类核心设备,以及设备的竞争格局,力图为您展现清晰的半导体设备投资脉络。

 

主要观点

1. 大陆迎来晶圆厂投建大潮,带来巨量半导体设备需求

  • 2016年下半年开始,全球半导体行业迎来新一轮景气周期,行业销售同比增长20%,全年大概率突破4000亿美元。叠加半导体行业向中国转移的趋势,中国大陆将迎来晶圆厂的投建大潮,预计2017-2020年将至少新建26座晶圆厂,带来庞大的半导体设备需求。

  • 半导体生产过程复杂,涉及上百种各类设备。因此设备是晶圆产线最大的投资项,占到总投资的70%,目前已开建的17座晶圆厂已带来超4000亿的设备空间,后续产线的落地将进一步提升半导体设备需求,预计18、19年都将是设备的需求高峰年。

 

2. 国家展现强大支持意志,国产设备迎来发展黄金时期

  • 目前半导体设备市场主要被美国、日本、荷兰的厂商所占据,大陆半导体厂商中,国产设备仅占11.5%,具有广阔的进口替代空间。

  • 国家对半导体行业展现出了空前的支持力度,《国家集成电路产业发展推进纲要》的发布为行业的发展描绘了明确的目标,集成电路产业大基金的成立则为行业的发展提供了急需的资金支持,我国半导体行业的发展正处于历史最好的环境。国家的强力支持与广阔的市场空间将使国产设备迎来发展的黄金时期。

 

3.国产设备已获突破,关注各细分设备领域龙头

  • 多年的行业发展已经培育出了一批国产半导体设备龙头,这些龙头公司在包括刻蚀机、薄膜沉积设备、清洗机等在内的关键半导体生产设备上取得了一系列的突破,65-28nm产品已成为中芯国际baseline机台,参与产品的量产,14nm制程设备也开始进入生产线进行工艺验证,有望在未来两年内进入产线。

  • 建议关注各细分设备领域龙头:北方华创(前道半导体生产设备龙头)、长川科技(检测设备龙头)、晶盛机电(半导体硅材料生长设备龙头)、至纯科技(高纯工艺系统龙头)。

 

4.风险提示

  • 行业发展不及预期,公司业务拓展不及预期。

 


报告正文:

一、半导体行业格局:垂直分工模式不断深化,中国已成重要下游市场

(一)半导体产品:集成电路占主要份额

半导体是一类常温下导电性能介于导体与绝缘体间的物质,常见的半导体材料包括硅、锗、砷化镓等,其中硅是目前最主要的半导体材料。由于半导体可以通过掺入杂质来改变其导电性能,并具有热敏性、光敏性等特性,因此广泛用于集成电路、光电器件、传感器等产品制造。

半导体产品包括集成电路(IC))、分立器件(discretes)、光电器件(optoelectronic)、传感器(sensors/actuators),其中集成电路又包含模拟电路和数字电路,数字电路可在细分为微器件、储存器和逻辑电路。

 

 

 


集成电路占据半导体产品80%以上市场份额。2016年全球集成电路销售额为2767亿美元,份额占比达到81.6%,光电器件、分立器件、传感器的份额则分别为9.4%、5.7%、3.2%。近年来由于光电器件市场的快速增长,集成电路所占份额有所下降,但仍占到80%以上。

 

 

 

由于集成电路(IC)占半导体产品份额巨大,最具有代表性,因此本文主要通过集成电路来分析半导体行业,若无特殊说明,半导体行业专指集成电路行业。

 

(二)半导体不断向小制程发展,摩尔定律已近物理极限

半导体制程指的是半导体芯片中各个硅晶体管连接导线的宽度,制程越小意味着同样的面积可以布局更多的半导体元器件,芯片的体积可以变得更小,功耗可以更低,同时相同面积的晶圆上可以生产更多的芯片,单个芯片的成本也会更低。

目前28nm制程芯片产品销售占比最高:半导体芯片的制程在2003年从微米时代进入了纳米时代,并每两年左右减小30%,目前主流的芯片制程包括90nm、65nm、40nm、28nm、16nm。由于28nm制程芯片制造工艺成熟,且具有高性价比,因此也成为生产最多的芯片,销售占比近30%。


半导体芯片向更低制程方向发展:随着16nm及更低制程芯片的生产工艺不断成熟,生产成本不断降低,以及下游产业对更小体积、更低功耗、运算能力更强的半导体芯片需求不断提升,20/16nm制程的芯片销售占比也在快速提升,未来有望超过28nm芯片。从技术的发展路径来看,更低制程的芯片是发展的必然方向。目前全球晶圆厂领先者正在积极布局10/7nm工艺,预计2-3年能够量产,下一代5/3nm工艺预计2022年量产。

 

 

 

 

摩尔定律近年来不断放缓,且已逼近其物理极限:到目前为止,半导体行业的发展基本符合摩尔定律,即当价格不变时,集成电路上可容纳的元器件的数目,约每隔18-24个月便会增加一倍,性能也将提升一倍。然而随着半导体制程的不断缩小,芯片生产的工艺愈加复杂、生产成本不断提高,摩尔定律放缓趋势变得明显,如英特尔的产品更新周期已从一年半延长至三年,产品量产也多次跳票。另外制程的缩小伴随着愈加明显的量子隧穿效应和热效应,使得芯片的性能收到明显影响,目前主流观点认为硅基材料的摩尔定律物理极限为5nm,再往下摩尔定律将不再适用。

 

 

 

 

(三)产业模式:产业分工趋势明显,垂直模式不断深化

1.Foundry、Fabless模式诞生打破IDM模式垄断

在1987年台积电成立以前,半导体行业只有一种IDM(Integrated Device Manufacture,集成器件制造)模式,即从设计,到制造、封装测试以及投向消费市场一条龙全包的模式,传统的半导体巨头如英特尔、三星、德州仪器、瑞萨等都是采用的IDM模式。

IDM模式的优势在于其具有资源的内部整合优势,以及具有较高的利润率。由于IDM模式贯穿半导体生产流程的始终,不存在工艺流程对接问题,新产品从开发到面市的时间较短,且因为覆盖前端的IC设计和末端的品牌营销环节,具有较高的利润率水平。


Foundry模式诞生,行业出现明显的垂直分工:随着半导体行业的发展,行业内的分工越来越深化,首先是EDA等工具类业务率先独立出来,随后台积电的成立标志着IC设计和IC制造业务分离的Foundry模式正式诞生,也进一步深化了半导体行业的垂直分工。Foundry模式指的是专门负责半导体芯片的生产制造,并不涉及设计、封测的其他领域,其出现的原因主要是:

  • 半导体制造业具有明显的规模经济效应,扩大规模可以显著降低单位产品的成本,提高企业竞争力,降低产品价格。

  • 半导体产业所需的投资极高,设备投资又占据最大的份额,技术的进步和工艺的改进要求半导体生产商不断更新生产设备,除了少数实力强大的IDM厂商有能力不断扩张外,其他的厂商根本无力扩张。而且只有Foundry模式才能最大化的利用产能。

与Foundry模式一同诞生的还有Fabless模式,即专业从事IC芯片设计的公司,此后IP商和封测商也不断独立壮大,半导体行业的垂直分工模式得到进一步的深化。

 

 

 

 

 

 

 

2. IDM逐步走向Fab Lite模式,垂直分工模式持续深化

垂直分工模式下的Fabless公司营收增长显著高于IDM公司:随着晶圆厂不断向大晶圆、小制程的方向发展,资本投入也在快速增加,垂直分工模式的优势和趋势更加明显。过去十年中IDM公司的收入规模保持在2000亿美元左右,基本没有增长,而Fabless公司的收入从2006年的411亿美元增长到了2016年的861亿美元,规模实现了翻倍增长,在全球半导体产业中的收入规模也占到了30%以上。

 

 

 


IDM公司开始向Fab-lite模式转变,参与行业的垂直分工:IDM公司也意识到了行业的垂直分工模式具有的显著优势,开始进行自身模式的转型。部分IDM公司逐渐演变为Fabless公司,例如AMD剥离了旗下的晶圆厂变成目前的Global Foundry代工厂,自身则成为Fabless公司。其他IDM公司则向Fab-lite模式转变。其中英特尔、三星开始用旗下的晶圆厂向第三方提供代工服务,不再是纯粹的IDM公司,而 Freescale、NXP等则把一部分芯片生产业务外包给其他代工厂,自身将资源和精力集中于优势产品上。

 

 

 

 

(四)产业变迁:半导体产业历经两次产业转移

半导体产业发源于美国,此后经历过两次大的产业转移。一次是20世纪70-80年代,日本借助在工业级PC DRAM上的高产品可靠性及美国的技术支持,实现了对美国市场的反超,在DRAM市场市占率近80%,在半导体市场市占率近50%。第二次是20世纪80-90年代,韩国借助PC发展的东风,通过技术引进与消化吸收成为PC端DRAM的主要生产者,而台湾则通过在晶圆代工、芯片封测领域的垂直分工奠定了半导体代工领域的龙头地位。

目前欧美日韩台主导着全球半导体产业格局,半导体产值方面,根据美国半导体协会的数据,2015年美国、韩国、日本、欧洲、台湾分别占50%、17%、11%、9%、6%的产值份额,而中国大陆仅占4%。

 

 

 

 

 

 

 

1. 日本半导体产业崛起:家电产业和工业级PC机遇+美国和政府扶持

日本集成电路技术来源于美国。半导体产业在上世纪50年代起源于美国,至70年代硅谷的形成,美国当之无愧的成为了半导体产业兴起时代的领跑者。集成电路最初被应用在军事领域,美国从自身人力成本和扶持日本发展角度,率先将劳动力密集型的装配环节转移到日本进行。日本半导体业的发展始于1963年,日本电气公司(NEC)自美国Fairchild公司取得planar technology的授权。日本政府要求NEC将取得的技术和国内其他厂商分享。由此项技术的引进,日本的NEC、三菱、京都电气等乃开始进入半导体产业。1966年,NEC、三菱等企业开始生产IC。1968年,TI以构造专利为条件与索尼合资办厂。

依托巨大的家电市场,日本半导体开始崛起。到了60年代后期,军事领域需求趋于稳定,以家电为代表的民用半导体市场份额逐渐扩大。日本从装配起家消化吸收美国半导体技术,作为二战战败国日本无法复制美国军事半导体崛起路径,将半导体技术应用在家电领域,实现崛起 。

 

 

 


为工业级PC提供可靠的DRAM,日本反超美国。80年代以后,PC的出现和普及带动了DRAM的发展。日本在美国扶持的大背景下,政府和产业界共同努力,开发基于DRAM的IDM商业模式,为大型计算机提供高可靠性的DRAM,开始在全球半导体市场处于领先地位,全盛期甚至占据了全球半导体市场的半壁江山,在DRAM市场市占率接近80%,让半导体行业一直以来的老大美国黯然失色。

 

 

 


日本半导体产业的崛起离不开日本政府的大力支持。同时充分利用各种经济手段,比如税收优惠等促进产业发展,以税收为例,日本有研发支出的租税优惠和特定研究的优惠,促进产业投资,保障行业均衡发展。

 

 

 

受美国抑制政策和韩国DRAM崛起的冲击,日本半导体产业开始走向衰落。日本半导体产品的成功主要在于制造上的精益求精使得半导体生产具有高生产效率、高良品率,进而可以低价销售。然而日本没有积极应对个人计算机DRAM产品的新需求,使得DRAM市场被韩国抢占。此外,美国对日本半导体产业的政策也从最初的扶持转向抑制,极大地影响了日本半导体产业地发展。

  • 1986年,第一次《美日半导体协议》签订,对日本产品进行最低价格限制,使日本半导体产品失去了价格优势;

  • 1985年,《广场协议》签订,日元大幅升值,仅仅3年的时间,日元就由原来的1美元=240日元变为1美元=120日元, 使得日本半导体产品价格进一步高于竞争对手;

  • 1991年,第二次《美日半导体协议》签订,要求到1995前,日本提高外国产半导体产品在日本国内市场所占的份额,这一比例要求达到20%。

 

2、韩国半导体产业崛起:消费级PC机遇+政府和财团支持

韩国半导体产业受益PC终端崛起迎来发展春天:80年代PC的普及使得面向PC端的DRAM产品成为下游主要的需求,与工业计算机DRAM产品相比,PC端的DRAM核心竞争力为高性价比,而不是高可靠性。韩国半导体企业敏锐地抓住了这一市场机会,积极调整产品,抢占了市场先机,并在竞争中很快超过了日本半导体企业,在DRAM市场地占有率最高达到了80%。时至今日,韩国三星、海力士依然占据70%以上的DRAM市场份额。

 

 

 


韩国半导体产业的崛起离不开政府和大财团的支持。韩国政府始终支持国内半导体产业的发展,并且将半导体产业上升到国家级项目,推出了租税奖励及低融资政策以及一系列的行业振兴与共同研发计划。

 

 

 

DRAM产品周期性强,在行业低谷时期亏损严重,需要大量的资金支持才能度过难关。此外,产品更新迭代速度快,需要长期足够的资金才能实现技术升级。韩国政府和财团在此期间为其提供了大量的资金支持,而日本由于国内泡沫经济,已不能够维持在DRAM领域的研发支出,被韩国赶超。

 

 

 

 

3、台湾半导体产业崛起:“垂直分工”模式机遇+政府支持

台湾半导体产业几乎与韩国同时起步,初期主要进行封测服务。下游需求从家电拓展到PC之后,对芯片的定制化要求提高,催生了一大批专业从事IC设计的公司(Fabless),此后随着产业垂直分工趋势的深化,台积电于1987年成立,开创了专业从事晶圆加工的Foundry模式。

台积电成立后,由于承接大量晶圆代工订单,台积电具有很快的设备折旧速度,能及时更新生产设备,此外台积电于大量生产设备制造商都有着密切的合作关系,得以共同研发下一代设备和生产工艺,保持公司在晶圆代工领域的绝对竞争优势。

台积电的Foundry模式取得了巨大的成功,2016年台积电营业收入高达9479亿新台币,利润则达到3317亿新台币,是全球第一大Foundry工厂,市占率超过50%,苹果、英伟达等全球龙头都是其大客户。

 

 

 


受益垂直分工,台湾实现了半导体全产业链的腾飞。台湾半导体以封测起家,靠晶圆代工走向腾飞,由于台湾成为了全球最大的晶圆代工地区,吸引和培育了一大批优秀的IC设计和IC封测公司,全产业链得以实现共同腾飞。目前台湾在晶圆代工和IC封测方面位居全球第一,IC设计位居全球第二。

台湾政府从政策和资金角度保证半导体产业发展。政策方面台湾政府成立工研院引进技术,发展成熟后转给企业,并在新竹科学园区建立了集成电路产业化基地,为企业发展提供了良好的技术支撑、服务平台和产业配套服务环境。资金方面台湾政府成立了“国发基金”,对重点企业发放政策性投资。此外还对高科技公司实施投资奖励和税收优惠。比如,台湾半导体产业不仅可以享受“免税五年”,还可以享受“投资抵减”优惠等。

 

 

 

 

(五)产业格局:产业向中国转移,中国已成最大下游市场

在半导体行业的第三次景气周期中,手机等消费电子产品取代PC成为行业增长的主要驱动因素,中国是全球第一大消费电子生产国和消费国,对半导体产品的需求逐年快速提升。在消费电子产品需求的驱动下,半导体产业开始向中国大陆转移。

如今中国已是全球半导体最大的销售市场。半导体的销售市场主要集中在亚太、北美和欧洲地区,其中中国2016年半导体销售额达到1075亿美元,占全球市场份额的31.7%,其中集成电路产业销售额4335.5亿元,占全球份额的23.6%,已成全球最大的销售市场。在产业向中国转移的背景下,中国半导体市场在国际市场中的分量和占比将进一步提升。

 

 

 


中国市场供需错配严重,集成电路已成最大进口商品。由于我国半导体产业起步晚,生产水平和生产能力难以满足下游巨大的需求,半导体产业的供需存在严重的供需错配情况,高度依赖进口。以集成电路为例,2016年我国集成电路产品需求达到1.20万亿元,而国内供给量仅为4335.5万亿元,自给率仅为36%,大量集成电路产品依靠进口。当年集成电路产品进口金额达到2296亿美元,已经替代原油成为我国第一大进口商品。

 

 

 

 

 

 

 

二、半导体设备是产线最大投资项,市场被海外厂商主导

(一)半导体生产涉及设计、制造、封测三大环节,对应众多生产设备

半导体生产流程涉及数百个,甚至上千个工艺流程,生产过程十分复杂,所需的设备种类、数量也很多。以集成电路为例,其生产流程主要分为IC设计、IC制造(前道)、IC封测(后道)三个环节,设备需求主要集中在IC制造环节,其次是IC封测环节,IC设计环节涉及的设备较少。

 

 

 

 

 

 


我们对IC芯片制造的核心工艺流程和核心设备进行了梳理,得到如下全景图,我们将基于此图详解IC芯片的关键生产流程和核心设备。

 

 

 

 

1.IC设计:根据产品要求设计电路图,完成光罩制作

IC芯片生产的第一步是根据下游客户的需求对产品进行设计,首先是制定IC芯片的规格,保证芯片产品能与相关的设备连线。然后是根据芯片的设计目的进行逻辑设计,再通过相关的EDA软件,将逻辑设计图(图4)转化为电路设计图(图5),再通过电路布局与绕线处理,得到复杂的芯片电路图(图6)。IC芯片内部分为数十层,每种颜色代表一层电路图,对应一张光罩。

设计完成后,IC设计公司会根据设计制作出若干张光罩,光罩将是晶圆制造过程中的重要物件。 

 

 

 

 

 

 

 

2.IC制造:将电路图转移至硅片上,光刻、刻蚀、薄膜沉积是关键步骤

IC制造的第一部是制造高纯度的硅晶圆,硅晶圆是制造IC芯片的基础材料。得到高纯硅的第一步是通过碳与二氧化硅进行氧化还原反应得到冶金级纯化的硅,纯度约为98%。第二步是通过西门子制程进行硅的纯化,得到电子级纯度的多晶硅,纯度可以达到99.999999999%(9个9)。随后是拉晶的步骤,将得到的高纯多晶硅融化后得到液态硅,再以单晶硅的硅种与液体表面接触,一边旋转一边向上拉起,凝固后即可获得排列整齐的单晶硅柱。将硅柱进行切割、打磨即可获得硅晶圆。

单晶炉是拉晶工艺所需的设备,通过在惰性气体(氮气、氦气为主)环境中,用石墨加热器将多晶硅等多晶材料熔化,直拉法生长出单晶硅柱。目前我国已具备自主生产单晶炉的技术和能力,国内主要供应商包括晶盛机电、北方华创、京运通等。

 

 

 

 

12英寸晶圆占主流,18英寸晶圆2020年后有望量产。晶圆的尺寸直接影响单个芯片(晶片)的生产成本,尺寸越大单个晶片的成本越低。硅片的尺寸基本上每十年上一个台阶,1980年代、1990年代、2000年年代分别是4英寸、6英寸、8英寸晶圆占据主流。如今12英寸(300mm)晶圆已经成为新的主流,占比超过70%。新一代的18英寸晶圆目前也已实现小规模生产,预计2020年后可以实现量产。

 

 

 

 

IC制造的第二步是晶圆加工,工艺流程和涉及的设备众多,其中主要的步骤包括光刻、刻蚀、离子注入、薄膜沉积。

光刻:光刻环节实现的是将电路设计图转移至硅片上,是IC芯片制造最关键的步骤。首先在薄膜表面均匀覆盖一层光刻胶,再用紫外线等光线通过光罩照射到硅片表面,在光线照射下,没有光罩掩盖部分的光刻胶会发生反应被破坏,再通过特殊材料洗去被破坏的光刻胶,电路设计就被成功转移到了硅片上。

光刻环节所需的设备光刻机是晶圆加工过程中最核心的设备,价值量巨大,当前最为先进EUV光刻机单台价值在1亿美元以上。目前高端光刻机被荷兰公司ASML所垄断,其占到光刻机市场的份额近80%。除ASML外,尼康和佳能可以提供中低端的光刻机设备,国内上海微电子的光刻机产品可以实现90nm制程,和ASML相比技术上仍有巨大差距。


刻蚀:光刻之后是刻蚀环节,通过化学药剂或是等离子等微粒将没有光刻胶保护的部分刻蚀掉,再将剩余的光刻胶清洗掉,电路图案就制作完成。

刻蚀机是刻蚀环节所需的设备,可以分为干法刻蚀和湿法刻蚀两种。干法刻蚀是通过等离子体等粒子撞击溅射实现刻蚀,湿法刻蚀则是通过化学药剂的反应实现刻蚀。美国的泛林集团、应用材料,日本的东京电子是全球主要的刻蚀设备提供商,国内则有北方华创、中微半导体、上海中微提供刻蚀设备,其中部分设备已经达到国际先进水平。

 

 

 


离子注入:离子注入是在真空中、低温下,把杂质离子经加速后直接射入半导体中,与材料中的原子或分子将发生一系列物理的和化学的相互作用,进而实现材料表面成分、结构和性能的变化。通过离子注入,可以使半导体芯片的不同部位具有不同的电性能。

离子注入机是实现离子注入环节的设备,美国应用材料公司是离子注入机领域龙头,市场份额达到70%,国内中电科、中电48所等已有离子注入机产品供应。


薄膜沉积:半导体芯片内部呈现层次结构,每一层都需经过一次光刻、刻蚀、离子注入来形成电路。薄膜沉积实现的就是在芯片表面沉积薄膜以供再次光刻使用,以及沉积隔离层、保护层,和沉积铜、铝等金属,实现各半导体器件间的电路互通。薄膜沉积可以分为化学气相沉积(CVD)和物理气相沉积(PVD),CVD通过气体在芯片表面产生化学反应形成薄膜,PVD则通过实用等离子体轰击靶材,使靶材材料在硅片表面沉积来形成薄膜。

薄膜沉积设备包括PVD设备和CVD设备,美国的应用材料和泛林集团都是国际上主要的薄膜沉积设备提供商。北方华创是国内领先的薄膜沉积设备提供商,产品已经进入中芯国际28nm生产线,14nm设备则在工艺验证过程中。

 

 

 

 

3.封装测试:产品的包装与质量保障

在完成前道工艺加工后,即获得载有晶粒的整块晶圆片。这时,工艺的流程开始进入后道工艺。后道工艺主要为封装、测试环节。由于一片IC芯片体积小而薄,且易被破坏,需要安装较大的尺寸外壳施加保护,并便于人工安装在集成电路板上,因此必须进行封装,封装的步骤主要包括晶片切割、黏晶、焊线、封胶、剪切成形、印字、检验等。

封装工序完成后,芯片还需通过设计目的和工作性能的测试,测试项目包括芯片目检、芯片粘贴测试、压焊强度测试、稳定性烘焙、温度循环测试、离心测试、渗漏测试、高低温电测试、高温老化测试、及老化后测试等一系列测试。通过测试的产品将在包装后交付给下游客户。

封装测试环节设备包括减薄机、划片机、探针台、引线键合机、测试机等。国外生产商包括KLA-Tencor、应用材料、日本 Hitachi,国内厂商包括长川科技、格兰达等。

 

 

 

 

(二)设备是半导体产线最大投资项

随着半导体制程的缩小以及集成度的提高,晶圆生产线的建设成本迅速提高。一条90nm制程芯片的晶圆生产线建设成本为20亿美元,到20nm时成本达到67亿美元,翻了三番之多。未来到5nm制程时,一条生产线的建设成本将达到160亿美元。

半导体设备是半导体产线投资的主要投入项。半导体设备不仅种类繁多,且因为需要在极小的制程下实现高精度的操作,具有非常高的技术要求,也导致设备的价格非常昂贵,设备在生产线的资本支出占比也逐渐提高。在90nm制程中设备支出占比为70%,到了20nm制程占比达到85%,从14亿美元提高到57亿美元,提高了4倍。

 

 

 


IC制造设备是价值占比最大的半导体设备,占到设备投资比例的80%。2015年全球半导体设备支出387亿美元,其中晶圆制造设备315亿美元,占比81.4%,封装设备49亿美元,占比12.7%,测试设备23亿美元,占比5.9%。过去十年间晶圆制造设备的占比也在80%左右小幅浮动。 

 

 

 

 

 

 

 

IC制造设备的高价值占比主要体现在光刻机、刻蚀机和薄膜沉积设备三类设备上,三类设备价值量合计可达设备总价值的50%-70%。一条产线光刻机根据产能只需要几台光刻机,但由于光刻机价值量巨大,仍在IC制造设备中占据最大价值量。刻蚀机和薄膜沉积设备单价值量在200-300万美元左右,但数量需求较大,因此价值占比也居前。

根据IC insights的预计,随着半导体制程的逐渐缩小,对于光刻机设备的要求将大大提高,目前10nm及以下制程的半导体产品生产必须使用ASML的EUV光刻机,单价超过1亿美元,因此未来光刻机在半导体制造设备中的成本占比讲进一步提升。

 

 

 

 

(三)设备市场被国外厂商主导

半导体设备行业呈现高集中度格局:半导体设备制造行业具有很高的技术壁垒、需要大量资金和人力投入,是典型的资本密集、技术密集型行业,“马太效应”显著。如今半导体设备制造行业已经呈现出高市场集中度的格局,2016年全球半导体设备销售额为412亿美元,其中前十大设备商占据71.4%的市场份额,前五大设备上占据61.4%的市场份额。前十大公司中除ASML来自荷兰以外,其余九家分属美日两国。

 

 

 


从公司产品结构来看,前十大设备公司业务都以IC制造设备为主,且均为某一细分产品领域寡头。ASML占据了超过70%的光刻机市场,订单已经排到了2018年;美国应用材料在离子注入机上占据70%市场份额,在PVD设备上占据85%市场份额;在涂胶显影机市场,东京电子占据90%的市场份额。

从各类产品角度看,各产品领域均呈现出非常高的行业集中度。光刻设备、PVD、刻蚀设备、氧化设备及扩散炉的Top3参与者市占率均超过90%,集中度较低的CVD设备也有70%的行业前三集中度,且位居各领域前三的公司基本上都属于前十大设备公司之一。

 

 

 

 

中国大陆半导体设备国产化率仅为11.5%,国产设备占全球份额仅2%。2016年全球半导体设备销售额412亿美元,中国大陆市场半导体设备销售额为64.6亿美元,占比15.7%,是仅次于台湾和韩国的第三大市场。然而国产半导体设备与国外产品相比在技术水平上仍有巨大差距,品牌知名度也尚缺,缺乏市场竞争能力,在市场中所占的份额很小。我国半导体设备公司中2016年销售额最大的仅为9.08亿元,与国外龙头企业相比有巨大差距。另根据中国电子专用设备工业协会统计,2016年35家国内主要半导体设备制造商完成半导体设备销售收入57.33亿元,出口7.84亿元,以1美元=6.65元人民币的汇率计算,2016年中国大陆半导体国产化设备销售额为7.44亿美元,国产化率仅为11.5%,呈略微下降趋势;而国产设备在全球市场所占份额仅为2.1%,相较几年前有小幅提升。 

 

 

 

 

 

 

 

 三、中国半导体设备行业迎来发展黄金机会

(一)半导体行业迎来新一轮景气周期,设备需求重回高增长区间

自半导体诞生以来,半导体行业经历了三轮完整的发展周期:

  • 第一轮周期发生在20世纪70年代-90年代,彼时半导体已经具有大规模应用的基础,在工业级计算机、PC的先后推动下,半导体产业销售额呈现出指数级别的增长,并于1995年突破了1000亿美元大关,这轮周期中电子、计算机等系统中半导体产品价值占比超过了20%。

  • 第二轮周期从2001年延续至2008年,主要的下游驱动因素是笔记本电脑、2G、3G无线通信对半导体产品的需求,这一阶段全球半导体销售额从1472亿美元增长到了2553亿美元,增长了73.4%。

  • 第三轮周期从2010年开始,到2014年结束,这一轮周期中半导体的销售额从2953亿美元增长到了 3344亿美元,首次突破了3000亿美元大关。这轮周期的主要下游需求推动来自于以智能手机为代表的移动互联网产品。

2014年后,智能手机出货量趋于平稳,全球半导体产业销售额也进入了稳定期,2015、2016年销售额分别为3373亿美元、3347亿美元,同比分别增长1.1%、-0.8%。

 

 

 

 

2017年半导体销售额同比增长超20%,全年销售额将超4000亿美元,迎来新一轮景气周期。从2016年8月开始,全球半导体销售额开始重回增长轨道,月度销售额同比增速开始由负转正。从2016年10月到2017年10月,半导体销售额已连续13个月超过300亿美元,已经超过历史上的最好水平,不断创下历史新高。2017年1-10月全球半导体销售总额已经达到3294亿美元,同比增长21%,且月度同比增速呈现上升趋势,我们预计9-12月的销售增速有望继续提升。2016年全球半导体销售额为全年半导体销售额为3389亿美元,今年突破4000亿美元基本已成定局。

 

 

 


行业景气向好带动半导体设备需求重回高增长区间。半导体销售额与设备销售额存在高度同步性,此次半导体行业的复苏伴随着半导体设备需求的提升。从2016年10月开始,北美半导体设备商出货额开始进入高增长区间,2017年1-10月总出货额达到211亿美元,同比增长41%,1-6月同比增长更是达到150%,并且连续8个月销售额均超过20亿美元,为历史上的最高水平。

 

 

 

 

(二)政策支持力度空前,半导体行业迎来发展良机

国家不断出台相关政策,半导体产业支持力度空前。半导体产业,尤其是集成电路产业,是信息技术产业的核心,是支撑经济社会发展和保障国家安全的战略性、基础性和先导性产业,一直受到国家的关注和重点扶持。从21世纪初至今,国家颁布了一系列的政策来支持和引导半导体产业的发展,支持力度不断加大,引导路径逐渐清晰。

 

(1)4号文延续10年行业支持政策,展现国家扶持决心。2000年6月,国务院发布了《关于印发鼓励软件产业和集成电路产业发展的若干政策的通知》(18号文),在审批程序、税收支持、进出口、投融资、人才培养等各方面给予了集成电路行业重点扶持。该通知在2011年到期,随即国务院发布了《关于印发进一步鼓励软件产业和集成电路产业发展若干政策的通知》(4号文)延续了各扶持措施,并修正了原 18 号文中因外力影响导致的 2005 年后集成电路行业优惠力度减小。4号文对18号文的延续说明国家对于集成电路产业的支持的一贯的,展现了国家支持的决心。


(2)02专项扶持国内半导体设备生产领军者。2008年,科技部和信产部启动了“极大规模集成电路制造装备及成套工艺”项目(02专项),以专项的形式组织了一批国内半导体设备公司进行了一系列重点工艺和技术的攻关,包括45-22纳米关键制造装备攻关,开发 32-22 纳米 CMOS 工艺、90-65 纳米特色工艺,开展 20-14 纳米前瞻性研究等。通过02专项的扶持国内诞生了北方华创、中微半导体、上海微电子等一批半导体设备生产领军者,并形成了 65-45 纳米装备、材料、工艺配套能力及集成电路制造产业链。


(3)《纲要》发布,带来最大支持力度和最清晰发展路径。2014年6 月,国务院印发《国家集成电路产业发展推进纲要》,为集成电路行业在重要战略机遇期和攻坚期的发展描绘了明确的发展目标。《纲要》提出,到2020年集成电路行业销售收入要实现20%的复合增长率;IC设计和IC封测领域技术均达到国际先进水平;16/14nm制程工艺实现规模量产;关键装备和材料进入国际采购体系。并在资金、税收、人才等各领域提供支持。《纲要》是目前国家发布的最详细、力度最大的支持政策,再次展现了国家发展半导体产业的意志。 

 

 

 

 

 

 

 

(三)大基金展现国家意志,巨额资金支持行业发展

大基金筹资超千亿支持集成电路产业发展。《国家集成电路产业发展推进纲要》提出建立国家产业投资基金,吸引大型企业、金融机构以及社会资金,重点支持集成电路等产业发展。2014年9月,国家集成电路产业投资基金(大基金)正式成立,计划筹资1000亿元,实际筹资1387亿元。大基金的投资总期限将达十五年,投资期(2014-2019)、回收期(2019-2024)、展期(2024-2029)各五年。

不同于国家直接对半导体企业进行补贴的模式,大基金通过资金入股的方式对企业进行资金支持,虽不直接参与公司运作,但仍起到监督和监管的作用,促使企业合理使用投资金额,将资金用于技术的研发和创新,产品的研发和推广上,相对于传统的补贴模式,大基金模式势必使资金具有更高的使用效率。

截止2017年9月底,大基金已累计决策投资55个项目,涉及40家集成电路企业,共承诺出资1003亿元,实际出资653亿元。大基金的投资涵盖集成电路产业的各个环节,其中IC制造是投资额最高、最为集中的环节,占到承诺投资的65%。IC设计、IC封测、装备材料领域则分别占17%、10%、8%。虽然设备企业占投资比例较少,但是行业内领先的设备企业,包括北方华创、长川科技、中微半导体、沈阳拓荆等都得到了大基金的投资。

 

 

 

 

 

 

 

 

大基金的成立同时撬动了一批地方产业基金,截止到2017年上半年,全国成立了二十余支集成电路地方产业基金,主要用于支持地方集成电路企业的发展,培育一批符合产业发展方向的标杆企业。据不完全统计,集成电路地方产业基金募资总规模已经达到3600亿,加上大基金,全国集成电路产业基金规模达到5000亿。

目前第二期的大基金正在筹划中,预计筹资额将达到1500-2000亿元,加上对地方产业基金的撬动,全国集成电路产业基金总规模有望超过1亿美元。第二期的大基金预计会将投资重点转移至IC设计领域,并加大IC封测、装备材料领域的投资力度。

 

 

 

 

(四)中国将迎来晶圆产线建设高峰期,带来巨大设备需求空间

中国大陆将迎来晶圆产线建设高峰期。根据SEMI(国际半导体产业协会)的预测,2017-2020年间,全球将有62座新建晶圆厂投入营运。这62座晶圆厂中,只有7座是研发用的晶圆厂,其他晶圆厂都是量产型厂房。以地理区来看,中国大陆在这段期间将有26座新的晶圆厂投入营运,占新增晶圆厂的比重高达42%。美国则有10座,台湾为9座。按照晶圆厂生产的产品型态来看,32%的新增晶圆产能将用做晶圆代工、21%为存储。

 

 

 

 

已开工产线带来超4000亿元设备需求,2018、2019是需求高峰年。目前已统计到有17座晶圆厂已经开工建设,晶圆厂的建设周期达到2-3年,其中厂房封顶需要约1.5年时间,生产设备在厂房封顶后开始进入。根据已开工晶圆厂的建设周期和投资额,我们预计这17座晶圆厂将在2017-2019年间带来4121亿元的半导体设备投资需求,需求主要集中在2018年,达到2274亿,2019年则达到1059亿。随着其余规划中晶圆厂的陆续投建,2018-2020年间的半导体设备需求将进一步提升,我们预计半导体设备的需求高峰将集中在2018、2019年。

各类设备中,光刻机所占份额最大,预计达30%,对应1264亿的市场空间。刻蚀设备、薄膜沉积设备占比约20%,均对应843亿空间,以上三类设备占到总设备需求的70%。检测设备、清洗设备、其他设备各自对应421、337、506亿空间。

 

 

 

 

(五)中国浮现了一批优秀的国产设备企业,产品已实现批量应用

02专项培育了一批国产半导体设备领军者。02专项组织了一批国内半导体设备公司进行了一系列重点工艺和技术的攻关,攻关领域涵盖光刻机、PVD、CVD、离子注入机、清洗机、检测设备等各类半导体生产设备,并以此培育了一批具有先进生产技术和自主知识产权的半导体设备企业,包括北方华创、中电科、沈阳拓荆、上海微电子、中微半导体等。

 

 

 

 

国产设备已在8、12英寸产线实现批量应用。在02专项、产业大基金的支持和培育下,我国半导体设备生产企业已经取得了一系列技术和产品的突破,多项专项项目结项,多种类、多型号半导体生产设备研发成功,且包括刻蚀机、薄膜沉积设备、清洗机等在内的关键半导体生产设备已在65-28nm制程晶圆产线上实现了批量应用,成为中芯国际等晶圆生产厂商的baseline机台,说明国产半导体设备已有进入主流晶圆生产线供货体系的能力。此外多项14nm制程设备也开始进入生产线进行工艺验证,有望在未来两年内进入产线。

对于大陆半导体设备厂商来说,除光刻机目前只能满足90nm制程芯片生产,难以进入新建产线设备需求外,其他设备基本都已满足晶圆产线的量产需求。由于地理上的优势,国产设备商在与晶圆生产商在合作进行设备的开发和验证上有巨大的便利性,且国产设备在性价比和售后服务上有更强的竞争优势,叠加国家对于半导体设备国产化的政策指引,国产半导体设备生产商有望借助中国大陆晶圆产线的密集投建实现国产设备渗透率的快速提升,同时迎来自身业绩的高速爆发期。

 

 

 

 

 

 

 

四、砥砺前行中的国产设备公司

(一)北方华创:国内半导体前道生产设备龙头

北方华创前身七星电子,2001年9月由北京电控整合原国营700厂、706厂、707厂、718厂、797厂、798厂的优质资产和业务成立,主营清洗、扩散设备等半导体设备以及精密电子元器件,2010年在深圳交易所上市。

整合北方微电子,产品体系国内最丰富。2016年,公司通过非公开发行股份完成了与北方微电子的战略重组,并引入了大基金成为公司股东。此后公司改名为北方华创。重组后公司的半导体设备产品系列得到极大丰富,新增了刻蚀设备、物理气相沉积设备(PVD)、化学气相沉积设备(CVD),基本涵盖了除光刻机外的各类前道半导体生产设备,是国内规模最大、产品体系最丰富、涉及领域最广的高端半导体工艺设备供应商。

此外公司还将业务拓展至光伏单晶炉等真空设备,以及涂布机等锂电设备。四大业务中,半导体设备以及电子元器件仍然是最主要的业务,占到2017上半年营收的56%、33%。

 

 

 


公司产品已实现批量应用,技术不断突破发展潜力巨大。公司承接了12个国家02重大科技专项子课题,已先后完成了12寸90-28nm刻蚀机、PVD、立式氧化炉、清洗机、LPCVD等设备的研发工作,并实现了产业化,02专项在研课题16/14nm工艺制程设备也在加速研发中。目前公司的14nm等离子硅刻蚀机已交付客户,28nm单片铜清洗机已进入中芯国际生产线,退火设备也进入了中芯国际、华力微, 28nm Hardmask PVD、Al-Pad PVD设备已率先进入国际供应链体系,12英寸清洗机累计流片量已突破60万片大关,化学气相沉积(CVD)进入14nm工艺验证阶段。在先进封装领域,公司刻蚀机和PVD设备已在全球主要企业中得到广泛应用,其中PVD机台已成为全球排名前三的CIS封装企业的首选机台。公司半导体领域下游客户包括中芯国际、武汉新芯、华力微电子、华进半导体等国内主要晶圆生产商,业绩有望深度受益半导体设备国产化,迎来高速发展。

公司每年投入巨额的研发费用来实现技术和工艺上的不断追赶和突破,2016年共投入研发费用7.6亿元,占到营业收入的46.7%。高额的研发投入是公司产品不断获得突破以及客户认可的关键。

 

 

 

 

(二)长川科技:集成电路检测设备领军者

检测是半导体芯片生产的重要环节,在IC设计、晶圆制造和封装测试三大环节中均需要对产品进行检测,以保证最终产品的质量和性能。检测设备主要包括测试机、分选机和探针台。测试机的作用是将芯片的引脚与测试机的功能模块连接起来,并通过施加输入信号和检测输出信号,判断芯片功能和性能指标的有效性。分选机和探针台是将芯片的引脚与测试机的功能模块连接起来并实现批量自动化测试的专用设备。在设计验证和成品测试环节,测试机需要和分选机配合使用;在晶圆检测环节,测试机需要和探针台配合使用。

 

 

 

 

公司成立于2008年,自成立以来一致专注于集成电路测试设备的设计、研发、制造和销售,是国内稀缺的可以生产集成电路测试设备的公司。目前公司产品涵盖测试设备中的测试机(包括大功率测试机、模拟/数模混合测试机等),分选机(包括重力下滑式分选机、平移式分选机等),测试机和分选机两种产品占到公司营业收入的95%以上。

自上市以来,公司业绩快速增长,2016年实现营业收入1.24亿元,五年复合增长率达到58%。

 

 

 


我国集成电路封测行业快速成长。在《纲要》和大基金的推动下,我国加快了集成电路产业的布局,由于集成电路的封测环节技术壁垒较低,属于劳动密集型行业,因此近年来获得了长足的发展。2016年我国集成电路封测市场规模达到1564亿元,同比增长13%。在国内密集投建晶圆厂的背景下,集成电路封测市场规模有望保持每年10%以上的增长率。

大陆集成电路封测公司已占据重要市场地位,份额达23%。通过自主研发和并购,中国大陆的集成电路封测公司也在快速成长,并在国际市场上占据了重要的地位。全球前十的封测厂商中,大陆公司占据三席,分别是长电科技、华天科技、通富微电,三者合计占到2016全球集成电路封测市场份额的22.7%。其中长电科技在“蛇吞象”收购星科金朋后一举成为全球第三大封测厂,市占率达到15%。

 

 

 

公司与国内封测龙头均有密切的业务合作,长电科技、华天科技、通富微电均是公司前五大客户之一,三者占到公司销售收入的60%-70%。与国内封测龙头的密切业务合作为公司业绩的稳定增长提供了保障,但也存在客户集中度较高的风险。

 

(三)晶盛机电:国内稀缺的晶体硅生长设备龙头

晶盛机电前身是上虞晶盛机电工程有限公司,成立于2006年,2010年改制为股份有限公司,并于2012年5月登陆创业板上市。通过并购与自身的外延发展,公司实现了多元化的业务布局,覆盖了光伏、半导体、LED、蓝宝石四个领域。

晶体硅生长设备仍是公司最主要业务。公司的晶体硅生长设备可应用于光伏、半导体等下游领域,一直是公司的最主要业务,公司业务多元化之后晶体硅生长设备的营收占比有所下滑,但仍占到70%以上。2017年由于光伏行业对于晶体硅生长设备的需求出现爆发式增长,晶体硅生长设备的营收占比达到了82%。

 

 

 


受益光伏行业回暖,公司业绩显著回升。2006-2011年间光伏行业粗放式、野蛮式的发展致使行业整体呈现严重的产能过剩、价格战激烈的格局,加上全球经济衰退,美国“双反”、欧洲“反倾销”等因素,我国光伏行业迎来了寒冬,公司业绩受行业影响也进入谷底。2015年起,受益于领跑者计划、分布式光伏发展、光伏扶贫等因素的推动,国内光伏行业出现了明显的复苏。各大光伏厂商纷纷扩产,公司业绩随之显著回升。2017年前三季度公司实现营业收入12.57亿元,同比增长87%,实现归母净利润2.53亿元,同比增长95%,前三季度业绩已经超过2016全年。其中2017Q3单季度实现营业收入4.49亿元、归母净利润1.11亿元,是单季度业绩的历史最好水平。

 

 

 


公司是国内稀缺的半导体硅生长设备龙头,已突破12寸硅片生产技术。硅片是半导体行业最重要的材料之一,目前半导体硅片的市场被海外公司垄断,前五大硅片供应商占据92%的市场份额,国内半导体硅片存在巨大的供需缺口,倒逼半导体硅片国产化。单晶炉是半导体硅片生产的重要设备,公司是国内稀缺的半导体硅生长设备提供商,公司承担了02专项中的“300mm硅单晶直拉生长设备的开发”、“8英寸区熔硅单晶炉国产设备研制”两大项目,均已通过专家组验收。8英寸区融单晶炉已经实现了产业化,12寸设备也已满足了工业化量产的需求。

 

 

 


国产硅片项目陆续投建,带来巨大单晶炉设备需求。目前已有统计的国内硅片商规划产能达到每月330万片,以单台单晶炉每月产出8000-10000片硅片计算,330万片的月产能将带来330-410台的单晶炉需求,未来随着其他国产硅片项目的持续上马和落地,对单晶炉的需求仍将有进一步的提升,预计可达600-1000台。


公司产品已经批量供货,获国际一线厂商认可。公司半导体硅生长设备产品已经成功为有研半导体、环欧半导体、金瑞泓等国内知名半导体硅片生产商供货,累计销售了几十台设备,并建立了稳定的合作关系。2017年7月公司获得台湾合晶科技子公司900万美元的设备订单,标志公司产品质量已经获得国际一线厂商的认同。


强强联合,公司切入国产大硅片生产领域。2017年10月,公司发布公告,与无锡市人民政府、中环股份签署了《战略合作协议》,将共同在宜兴市开展建设集成电路用大硅片生产与制造项目。11月公司与中环股份、中环香港、无锡产业发展集团共同出资50亿元成立中环领先半导体公司,共同进行大硅片的研发和生产,公司出资5亿元占10%股权。本次的合作对象中,中环股份是国内最大、全球第三大的区熔硅片供应商,在半导体领域有多年的技术积累,无锡市则是我国集成电路产业发源地之一。本次合作集合了三家的各自优势,将在技术、市场、税收、人才等领域具有独特的竞争优势。公司也借此举切入国产大硅片生产领域,并加强在设备领域的市场开拓和竞争优势。

 

(四)至纯科技:高纯工艺系统龙头

公司主要为电子、生物医药及食品饮料等行业的先进制造业企业提供高纯工艺系统的整体解决方案,以上行业均对生产过程中原材料的纯净程度有极高的要求,极少量的不纯物都会影响产品的性能,甚至导致产品的报废。公司在高纯工艺系统领域的长期积累使得公司的产品已经可以将不纯物含量控制到ppb(十亿分之一)及一下,可以满足集成电路等对不纯物含量要求最为严苛的行业。

 

 

 

公司在创立之初客户主要集中在医药行业,2008年公司将业务重心转致光伏领域,并前瞻布局了半导体行业,随着国内半导体行业的快速发展,半导体领域已经成为公司主要的下游客户领域,占比超过50%,公司业绩也随之出现了高速增长,2017上半年公司实现营业收入1.58亿,同比增长46%,实现扣非后净利润1.96亿,同比增长47%。

 

 

 

作为国内高纯工艺系统行业的先行者,公司在国内同行业企业中具有较强的竞争优势,公司客户均为行业领军企业,如电子行业的中国电子科技集团48所,光伏的晶澳太阳能、英利能源,LED的上海和辉,半导体领域的上海新进芯微电子有限公司、海力士半导体(重庆)等。在优质客户群获得的广泛认同,使公司拥有较强销售定价能力。

 

(五)中微半导体:介质刻蚀设备国内王者

中微半导体设备(上海)有限公司是一家致力于微观制程设备的研发、生产、销售及服务一体化的高科技创业公司;

刻蚀机技术达到全球先进水平,产品已进入国际大厂生产线。中微半导体介质刻蚀设备技术处于世界领先地位,高端介质刻蚀设备市占率全球第三,国内份额超过50%,刻蚀机在线台数年复合增长率达到48.44%。目前中微半导体产品具备45-14nm量产能力,7纳米制程设备已进入台积电供应链。中微半导体即将进入下一世代5纳米、甚至3.5纳米工艺。客户包括Intel、三星、台积电、联电、海力士、意法半导体和博士半导体等国际大厂。公司已将核心业务由半导体前工序的高端刻蚀,拓展到包括 3D 的先进封装, MEMS在内硅通孔刻蚀。


入股沈阳拓荆,布局PECVD。沈阳拓荆致力于研究和生产世界领先的极大规模集成电路行业专用薄膜设备,两次承担国家科技重大专项。公司是国内唯一能够生产适用于大规模集成电路生产线的PECVD设备供应商,自主研发的12英寸PECVD设备,拥有100%知识产权。其用于90-40纳米集成电路的生产,具备14-10纳米技术的延伸性,性能指标,达到世界领先水平。设备已在近10家国内及台湾企业的大估摸集成电路及先进封装生产线实施量产,累积超过50万片。

产品市场空间巨大,未来成长可期。半导体前段刻蚀和薄膜设备,每年将有超过80亿美元的市场,先进封装MEMS刻蚀,将有20亿美元的市场,公司产品市场空间较大。中微2017年销售将达11亿元人民币,中微目标2020年实现20亿元销售,力争2050年达到50亿元跻身国际前五大半导体设备供应商。

 

 

 

 

(六)上海微电子:国产光刻机的希望

光刻机技术受到国外封锁。光刻机是所有半导体设备中技术含量最高,涉及系统集成、精密光学、精密运动、精密物料传输、高精度微环境控制等多项先进技术,是整个半导体制造环节最为关键的设备。正是由于光刻机的技术含量如此之高,欧美国家一直对我国采取禁运措施,最先进的几代光刻机对华禁售。

光刻机是半导体设备中最大的一个行业,2015年市场总规模约100亿美元(设备总规模367亿美元),光刻机分别应用在晶圆制造的前道以及先进封装环节。目前我国光刻机处于技术追赶阶段,尤其是前道光刻机,最先进的设备由上海微电子制造,目前量产能力可达到90nm,国家“02“专项的65nm光刻机研制成功,目前正在进行整机考核,光刻机技术在90纳米是一个技术台阶,迈过90纳米很容易做到65纳米,对65纳米的进行升级就可以做到45纳米。02专项提出光刻机到2020年出22纳米的光科技设备。


深耕光科技领域,先进封装光刻机国内市场占有率80%。上海微电子装备(集团)股份有限公司(简称SMEE)主要致力于半导体装备、泛半导体装备、高端智能装备的开发、设计、制造、销售及技术服务。公司设备广泛应用于集成电路前道、先进封装、FPD面板、MEMS、LED、Power Devices等制造领域。;

SMEE致力于高端投影光刻机的研发和量产,目前已有四大系列光刻机产品,截至目前公司光刻机领域直接持有专利近1500项,产品技术逼近世界先进水平,跻身世界前四行列,正在突破国际厂商的垄断格局。公司前道光刻机最先进量产制程达到90nm,先进封装光刻机已经实现出口,国内市占率为80%,全球市场份额为40%。2015年先进封装光刻机市场规模为1.5亿美元,未来随着先进封装光刻技术的大量应用,市场空间将进一步扩大,公司作为该领域龙头企业将率先受益。

 

 

 

 

五.风险提示

行业发展不及预期,公司业务拓展不及预期。

 
【版权声明】本网站所刊原创内容之著作权为「中国半导体照明网」网站所有,如需转载,请注明文章来源——中国半导体照明网;如未正确注明文章来源,任何人不得以任何形式重制、复制、转载、散布、引用、变更、播送或出版该内容之全部或局部。
 
[ 资讯搜索 ]  [ 加入收藏 ]  [ 告诉好友 ]  [ 打印本文 ]  [ 关闭窗口 ]

 
0条 [查看全部]  相关评论

 
关于我们 | 联系方式 | 使用协议 | 版权隐私 | 诚聘英才 | 广告服务 | 意见反馈 | 网站地图 | RSS订阅